شعار إنتل

برنامج Intel RN-01080-22.1 Quartus Prime Standard Edition

intel-RN-01080-22-1-Quartus-Prime-Standard-Edition-Software-product

معلومات المنتج

المنتج هو برنامج Intel Quartus Prime Standard Edition، وبالتحديد الإصدار 22.1. ويتضمن تحديثات وظيفية وأمنية وإصلاحات للأخطاء وتغييرات في سلوك البرنامج. تم تصميم البرنامج لتحسين أمان تثبيت Intel Quartus Prime الخاص بك وحل طلبات خدمة العملاء.

للحصول على معلومات إضافية حول إصدار البرنامج هذا، يرجى الرجوع إلى الملف التمهيدي Intel Quartus Prime Standard Edition README file يقع في /quartus/readme.txt. للحصول على معلومات حول دعم نظام التشغيل، قم بزيارة دعم نظام التشغيل Intel FPGA webصفحة.

البرنامج مسجل في ISO 9001:2015.

تعليمات استخدام المنتج

  1. حافظ على تحديث برنامج Intel Quartus Prime الخاص بك للاستفادة من التحديثات الوظيفية والأمان وإصلاحات الأخطاء وتحسين الأمان.
  2. إذا كان لديك أي طلبات خدمة العملاء، إعادةview مشكلات البرامج التي تم حلها في الصفحة 13 وتصحيحات البرامج المضمنة في هذا الإصدار في الصفحة 13 للتحقق مما إذا كان هذا الإصدار يحتوي على إصلاحات لمشكلاتك.
  3. ل view إعدادات التعيين الافتراضية لأحدث إصدار من البرنامج، راجع إعدادات Intel Quartus Prime الافتراضية File (.qdf) الموجود في /quartus/bin/signment_defaults.qdf.
  4. إذا تم إهمال أي ميزات أو وظائف في الإصدارات السابقة، فقم بترحيل الأدوات والعمليات الخاصة بك لاستخدام الميزات والوظائف البديلة أو البديلة قبل إزالتها.
  5. لم يتم إهمال أو إزالة أي ميزات أو وظائف في الإصدار 22.1 من Intel Quartus Prime Standard Edition.

Intel® Quartus® Prime Standard Edition الإصدار 22.1 ملاحظات إصدار دعم البرامج والأجهزة

يوفر هذا المستند أحدث المعلومات حول الإصدار 22.1 من Intel® Quartus® Prime Standard Edition.
للحصول على معلومات إضافية حول إصدار البرنامج هذا، راجع Intel Quartus Prime
الملف التمهيدي للإصدار القياسي file في المكان التالي: /quartus/readme.txt
للحصول على معلومات حول دعم نظام التشغيل ، راجع ما يلي web الصفحة: دعم نظام تشغيل Intel FPGA.

معلومات ذات صلة

  • ملاحظات إصدار برنامج Intel Quartus Prime Pro Edition ودعم الأجهزة
  • برنامج تصميم Intel Quartus Prime Standard Edition لنظام التشغيل Linux
  • برنامج تصميم Intel Quartus Prime Standard Edition لنظام التشغيل Windows
  • برنامج التصميم Intel Quartus Prime Lite Edition لنظام التشغيل Linux
  • برنامج التصميم Intel Quartus Prime Lite Edition لنظام التشغيل Windows
  • تثبيت وترخيص برنامج Intel FPGA

الميزات والتحسينات الجديدة

يتضمن الإصدار 22.1 من برنامج Intel Quartus Prime Standard Edition تحديثات وظيفية وأمنية. حافظ على تحديث برنامجك واتبع التوصيات الفنية التي تساعد على تحسين أمان تثبيت Intel Quartus Prime.
يتضمن الإصدار 22.1 من برنامج Intel Quartus Prime Standard Edition الميزات والتحسينات الجديدة التالية:

  • تمت إضافة دعم لمعالج Nios® V/m.
  • بالنسبة لأجهزة Intel MAX® 10، تمت إضافة دعم 1.8V LVDS.

إصلاح الأخطاء
يتضمن الإصدار 22.1 من برنامج Intel Quartus Prime Standard Edition أيضًا إصلاحات للأخطاء. يكررview تم حل مشكلات البرامج في الصفحة 13 وتصحيحات البرامج المضمنة في هذا الإصدار في الصفحة 13 لمعرفة ما إذا كان هذا الإصدار يحتوي على إصلاحات أو حل لأي من طلبات خدمة العملاء الخاصة بك.

التغييرات في سلوك البرنامج

يوثق هذا القسم الحالات التي تم فيها تغيير السلوك والإعدادات الافتراضية لبرنامج Intel Quartus Prime Standard Edition من الإصدارات السابقة لبرنامج Intel Quartus Prime Standard Edition.
راجع إعدادات Intel Quartus Prime الافتراضية File (.qdf) ، /quartus/bin/assignment_defaults.qdf للحصول على قائمة بجميع إعدادات التخصيص الافتراضية لأحدث إصدار من برنامج Intel Quartus Prime.

الميزات والوظائف المهملة

  • لقد تم إهمال الوظائف والميزات المدرجة في هذا القسم ولكن لم تتم إزالتها من الإصدار 22.1 من Intel Quartus Prime Standard Edition أو إصدار سابق. قم بترحيل الأدوات والعمليات الخاصة بك لاستخدام الميزات والوظائف البديلة أو البديلة قبل إزالة الميزات والوظائف المهملة.
  • تم إهمال الميزات والوظائف اعتبارًا من الإصدار 22.1 من Intel Quartus Prime Standard Edition
  • لم يتم إهمال أي ميزات أو وظائف Intel Quartus Prime في الإصدار 22.1 من Intel Quartus Prime Standard Edition.
  • تم إهمال الميزات والوظائف اعتبارًا من الإصدار 21.1.1 من Intel Quartus Prime Standard Edition
  • لم يتم إهمال أي ميزات أو وظائف Intel Quartus Prime في الإصدار 21.1.1 من Intel Quartus Prime Standard Edition.
  • تم إهمال الميزات والوظائف اعتبارًا من الإصدار 21.1 من Intel Quartus Prime Standard Edition
  • لم يتم إهمال أي ميزات أو وظائف Intel Quartus Prime في الإصدار 21.1 من Intel Quartus Prime Standard Edition.
  • تم إهمال الميزات والوظائف اعتبارًا من الإصدار 20.1 من Intel Quartus Prime Standard Edition
  • لم يتم إهمال أي ميزات أو وظائف Intel Quartus Prime في الإصدار 20.1 من Intel Quartus Prime Standard Edition.

الميزات والوظائف التي تمت إزالتها

  • تمت إزالة الوظائف والميزات المدرجة في هذا القسم من الإصدار 22.1 من Intel Quartus Prime Standard Edition أو إصدار سابق.
  • تمت إزالة الميزات والوظائف من الإصدار القياسي Intel Quartus Prime Standard Edition 22.1
  • لم تتم إزالة أي ميزات أو وظائف Intel Quartus Prime من الإصدار 22.1 من Intel Quartus Prime Standard Edition.

تمت إزالة الميزات والوظائف من الإصدار القياسي Intel Quartus Prime Standard Edition 21.1.1
لم تتم إزالة أي ميزات أو وظائف Intel Quartus Prime من الإصدار 21.1.1 من Intel Quartus Prime Standard Edition.
تمت إزالة الميزات والوظائف من الإصدار القياسي Intel Quartus Prime Standard Edition 21.1

  • تمت إزالة ModelSim*-Intel FPGA Edition وModelSim-Intel FPGA Starter Edition
    تم استبدال برنامج المحاكاة هذا بـ Questa*-Intel FPGA Edition وQuesta-Intel FPGA Starter Edition على التوالي.
  • تمت إزالة الدعم لبرنامج محاكاة 32 بت.
    يؤدي هذا التغيير إلى إزالة الدعم لأدوات المحاكاة التالية:
    • ألديك * Active-HDL * (32 بت)
      استخدم إصدار 64 بت من Aldec Active-HDL أو استخدم Aldec Riviera-PRO* بدلاً من ذلك.
    • رسومات مينتور * ModelSim PE
      استخدم Siemens* EDA ModelSim SE أو Siemens EDA Questa Advanced Simulator بدلاً من ذلك.
  • تمت إزالة دعم مكدس NicheStack TCP/IP.
  • تمت إزالة الدعم لـ Cadence* Incisive* Enterprise Simulator (IES).

تمت إزالة الميزات والوظائف من الإصدار القياسي Intel Quartus Prime Standard Edition 20.1
تمت إزالة دعم البرامج التالية من الإصدار 20.1 من Intel Quartus Prime Standard Edition والإصدارات الأحدث:

  • DSP Builder لـ Intel FPGAs
  • Intel FPGA SDK لـ OpenCL™ (*)
  • إنتل FPGA RTE لـ OpenCL
  • مترجم إنتل عالي المستوى (HLS).

دعم نظام التشغيل

تتوفر معلومات حول دعم نظام التشغيل لـ Intel Quartus Prime Design Suite في صفحة دعم نظام التشغيل الخاصة بـ Intel FPGA webموقع.
تغييرات دعم نظام التشغيل في الإصدار 22.1 من Intel Quartus Prime Standard Edition
تم إيقاف دعم أنظمة التشغيل التالية في الإصدار 22.1 من Intel Quartus Prime Standard Edition:

  • سينت أو إس * لينكس 8.2
  • Windows Server * 2012
  • ويندوز سيرفر 2016
  • ويندوز * 10 الإصدار 1607
    قم بترحيل تثبيت Windows 10 إلى الإصدار 10 من Windows 1809 أو إصدار أحدث.
    قد تتم إزالة دعم أنظمة التشغيل هذه في إصدار مستقبلي.
    قام الإصدار 22.1 من Intel Quartus Prime Standard Edition بإزالة الدعم لأنظمة التشغيل التالية:
  • سينت أو إس لينكس 7.5
  • CentOS Linux 8.0 (1)
  • سينت أو إس لينكس 8.1
  • Red Hat * Enterprise Linux * 7
  • ريد هات إنتربرايز لينكس 8.0 (2)
  • ريد هات إنتربرايز لينوكس 8.1

تغييرات دعم نظام التشغيل في الإصدار 21.1.1 من Intel Quartus Prime Standard Edition

لا توجد تغييرات في دعم نظام التشغيل في الإصدار 21.1.1 من Intel Quartus Prime Standard Edition.

تغييرات دعم نظام التشغيل في الإصدار 21.1 من Intel Quartus Prime Standard Edition
أضاف الإصدار 21.1 من Intel Quartus Prime Standard Edition دعمًا لأنظمة التشغيل التالية:

  • سنت أو إس 8.0
  • ريد هات إنتربرايز لينوكس 8
  • SUSE * Linux Enterprise Server 15
  • نظام التشغيل Ubuntu * Linux 20 LTS
  • ويندوز سيرفر 2019

تم إيقاف دعم أنظمة التشغيل التالية اعتبارًا من الإصدار 21.1 من Intel Quartus Prime Standard Edition. قد تتم إزالة الدعم لأنظمة التشغيل هذه في إصدار مستقبلي:

  • سنت أو إس 7.5
  • ريد هات إنتربرايز لينوكس 7
    قام الإصدار 21.1 من Intel Quartus Prime Standard Edition بإزالة الدعم لأنظمة التشغيل التالية:
  • ريد هات إنتربرايز لينوكس 6
  • نظام التشغيل Ubuntu Linux 14 LTS

معلومات ذات صلة
دعم نظام التشغيل

توصيات مساحة القرص والذاكرة

يتطلب التثبيت الكامل لبرنامج Intel Quartus Prime Standard Edition ما يصل إلى 40 جيجابايت من مساحة القرص المتوفرة.
قم بتكوين نظامك لتوفير ذاكرة افتراضية إضافية مساوية لذاكرة الوصول العشوائي الفعلية الموصى بها والمطلوبة لمعالجة التصميم الخاص بك. تعمل هذه الذاكرة الافتراضية الإضافية على مضاعفة إجمالي الذاكرة الفعالة المتوفرة لمعالجة تصميمك بشكل فعال.

ملحوظة: قد تتجاوز الذاكرة الظاهرية القصوى هذه التوصيات. تستند هذه التوصيات إلى مقدار الذاكرة الفعلية المطلوبة لتحقيق وقت تشغيل في حدود 10٪ من ذلك الذي تم تحقيقه على الأجهزة التي تحتوي على كمية لا حصر لها من ذاكرة الوصول العشوائي.

الجدول 1. متطلبات الذاكرة لمعالجة تصميمات Arria®
هذه المتطلبات هي نفسها لكل من تثبيتات Windows و Linux.

عائلة جهاز يوصى بذاكرة الوصول العشوائي المادية
إنتل آريا®10 10AT115 ، 10AX115 48 جيجا بايت
10AT090 ، 10AX090 44 جيجا بايت
10AS066 ، 10AX066 32 جيجا بايت
10AS057 ، 10AX057 30 جيجا بايت
10AS048 ، 10AX048 28 جيجا بايت
10AX032 ، 10AS032 24 جيجا بايت
10AX027 ، 10AS027 22 جيجا بايت
10AX022 ، 10AS022 20 جيجا بايت
10AX016 ، 10AS016 18 جيجا بايت
أريا ف 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 16 جيجا بايت
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 12 جيجا بايت
5AGXA7، 5AGTC7 10 جيجا بايت
5AGTC3, 5AGXA3, 5AGXA5 8 جيجا بايت
5AGXA1 6 جيجا بايت
أريا الخامس جي زد 5AGZE7 16 جيجا بايت
5AGZE3, 5AGZE5 12 جيجا بايت
5AGZE1 8 جيجا بايت
أريا الثاني جي إكس EP2AGX260 6 جيجا بايت
EP2AGX95, EP2AGX125, EP2AGX190 4 جيجا بايت
EP2AGX65 2 جيجا بايت
EP2AGX45 1.5 جيجا بايت
أريا الثاني جي زد EP2AGZ350 8 جيجا بايت
EP2AGZ300 6 جيجا بايت
EP2AGZ225 4 جيجا بايت

الجدول 2. متطلبات الذاكرة لمعالجة تصميمات Cyclone®
هذه المتطلبات هي نفسها لكل من تثبيتات Windows و Linux.

عائلة جهاز يوصى بذاكرة الوصول العشوائي المادية
إنتل سايكلون® 10 إل بي 10CL120 1.5 جيجا بايت
10CL080، 10CL055 1 جيجا بايت
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 512 ميجا بايت
الإعصار الخامس 5CEA9, 5CGTD9, 5CGXC9 8 جيجا بايت
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5,

5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6,

5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, 5CSEA4, 5CSXC2,

5CSXC4، 5CSTD6

6 جيجا بايت
الإعصار الرابع جي إكس EP4CGX110، EP4CGX150 2 جيجا بايت
EP4CGX50، EP4CGX75 1.5 جيجا بايت
EP4CGX15, EP4CGX22, EP4CGX30 512 ميجا بايت
الإعصار الرابع إي EP4CE115 1.5 جيجا بايت
EP4CE55، EP4CE75 1 جيجا بايت
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 512 ميجا بايت

الجدول 3. متطلبات الذاكرة لمعالجة تصميمات MAX
هذه المتطلبات هي نفسها لكل من تثبيتات Windows و Linux.

عائلة جهاز يوصى بذاكرة الوصول العشوائي المادية
إنتل ماكس 10 10م50 2 جيجا بايت
10م16 2 جيجا بايت
10م25 2 جيجا بايت
10م40 2 جيجا بايت
10م04، 10م08 1 جيجا بايت
10م02 512 ميجا بايت
ماكس الخامس الجميع 512 ميجا بايت
ماكس الثاني الجميع 512 ميجا بايت

الجدول 4. متطلبات الذاكرة لمعالجة تصميمات Stratix®
هذه المتطلبات هي نفسها لكل من تثبيتات Windows و Linux.

عائلة جهاز يوصى بذاكرة الوصول العشوائي المادية
ستراتيكس® V 5السيب، 5SGXAB، 5SGXB9، 5SGXBB 28 جيجا بايت
5SGXA9, 5SEE9 24 جيجا بايت
5SGTC7, 5SGXA7, 5SGSD8 20 جيجا بايت
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 16 جيجا بايت
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 12 جيجا بايت
5SGSD3 8 جيجا بايت
ستراتيكس الرابع EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 12 جيجا بايت
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 8 جيجا بايت
EP4SGX290 6 جيجا بايت
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 4 جيجا بايت
EP4SGX70 2 جيجا بايت

دعم الجهاز وحالة Pin-Out

تتمتع جميع أجهزة الإنتاج حاليًا بدعم كامل للتجميع والمحاكاة وتحليل التوقيت ودعم البرمجة.

التغييرات في دعم الجهاز

نموذج التوقيت وطراز الطاقة وحالة الجهاز

الجدول 5. نموذج التوقيت ونموذج الطاقة وحالة الجهاز لأجهزة Intel Arria 10

عائلة الأجهزة جهاز توقيت حالة النموذج حالة نموذج الطاقة حالة الجهاز
إنتل آريا 10 10AX016, 10AS016, 10AX022, 10AS022,

10AX027, 10AS027, 10AX032, 10AS032

النهائي - 16.1 (3)

(4)

النهائي - 17.0 النهائي - 17.0
10AX048 ، 10AS048 النهائي - 16.0.2 (4) النهائي - 17.0 النهائي - 17.0
10AX057, 10AS057, 10AX066, 10AS066,

10AT090 ، 10AX090

النهائي - 16.0.1 (4) النهائي - 16.0.1 النهائي - 16.0.1
10AX115 ، 10AT115 النهائي - 16.0 (4) النهائي - 16.0 النهائي - 16.0

الجدول 6. نموذج التوقيت ونموذج الطاقة وحالة الجهاز لأجهزة Intel Cyclone 10

عائلة الأجهزة جهاز توقيت حالة النموذج حالة نموذج الطاقة حالة الجهاز
معالج إنتل سايكلون 10 LP 10CL006, 10CL010, 10CL016, 10CL025,

10CL040, 10CL055, 10CL080, 10CL120

النهائي - 17.0 النهائي - 17.1 النهائي - 17.1

الجدول 7. نموذج التوقيت ونموذج الطاقة وحالة الجهاز لأجهزة Intel MAX 10

عائلة الأجهزة جهاز توقيت حالة النموذج حالة نموذج الطاقة حالة الجهاز
إنتل ماكس 10 10M02, 10M04, 10M08 النهائي – 15.1(5) النهائي - 15.1 النهائي - 15.1
10M16, 10M25, 10M40, 10M50 النهائي - 15.1.2 النهائي - 15.1 النهائي - 15.1

يتضمن الإصدار الحالي من برنامج Intel Quartus Prime أيضًا التوقيت النهائي ونماذج الطاقة لـ Arria II GX وArria II GZ وArria V وArria V GZ وArria V SoC وCyclone IV E وCyclone IV GX وCyclone V وCyclone V. عائلات أجهزة SoC وMAX II وMAX II Z وMAX V وStratix IV وStratix V. أصبحت نماذج التوقيت لعائلات الأجهزة هذه نهائية في إصدارات برنامج Intel Quartus Prime 11.1 أو الإصدارات السابقة.

موديلات IBIS

جدول 8. حالة نموذج IBIS لإصدار برنامج Intel Quartus Prime Standard Edition الإصدار 22.1
بدءًا من الإصدار 16.0 من برنامج Intel Quartus Prime Standard Edition، تتمتع عائلات الأجهزة بحالات طراز IBIS التي تكون إما متقدمة أو أولية أو نهائية.

عائلة الأجهزة حالة نموذج IBIS
إنتل آريا 10 النهائي - 16.1.2
أريا ف يرتبط بتشغيل جهاز PHY – 14.0
أريا الثاني جي إكس يرتبط بتشغيل جهاز PHY – 11.1
أريا الثاني جي زد يرتبط بتشغيل جهاز PHY – 11.1
معالج إنتل سايكلون 10 LP النهائي - 17.0
الإعصار الخامس يرتبط بتشغيل جهاز PHY – 14.0
الإعصار الرابع إي يرتبط بتشغيل جهاز PHY – 11.1
الإعصار الرابع جي إكس يرتبط بتشغيل جهاز PHY – 11.1
إنتل ماكس 10 النهائي - 16.0
ماكس الخامس يرتبط بتشغيل جهاز PHY – 11.1
ستراتكس الخامس يرتبط بتشغيل جهاز PHY – 13.0 SP1
ستراتيكس الرابع يرتبط بتشغيل جهاز PHY – 11.1

تتوفر نماذج IBIS المحدثة عبر الإنترنت على نماذج IBIS لأجهزة Intel FPGA web صفحة. يتم تحديث هذه الصفحة عندما تصبح نماذج IBIS للأجهزة متاحة أو يتم تحديثها.

معلومات واجهة EDA

الجدول 9. أدوات التجميع التي تدعم إصدار برنامج Intel Quartus Prime Standard Edition الإصدار 22.1

أدوات التوليف إصدار
دقة سيمنز EDA* عادةً ما يتم إصدار إصدارات Siemens EDA Precision التي تدعم برنامج Intel Quartus Prime بعد إصدار برنامج Intel Quartus Prime. اتصل بـ Siemens EDA للحصول على إصدارات Siemens EDA Precision التي تدعم الإصدار 22.1 من برنامج Intel Quartus Prime Standard Edition.
Synopsys * Synplify * و Synplify Pro * و Synplify Premier عادةً ما يتم إصدار إصدارات Synopsys Synplify وSynplify Pro وSynplify Premier التي تدعم برنامج Intel Quartus Prime بعد إصدار برنامج Intel Quartus Prime. اتصل بـ Synopsys للحصول على إصدارات Synopsys Synplify وSynplify Pro وSynplify Premier التي تدعم إصدار برنامج Intel Quartus Prime Standard Edition الإصدار 22.1.

جدول 10. أدوات المحاكاة التي تدعم إصدار برنامج Intel Quartus Prime Standard Edition الإصدار 22.1
توفر أدوات المحاكاة التالية محاكاة RTL ومحاكاة وظيفية على مستوى البوابة. يتم دعم أدوات المحاكاة 64 بت فقط.

أدوات المحاكاة إصدار
ألديك أكتيف-HDL 13.0 (Windows فقط)
الديك ريفييرا برو 2019.10
إيقاع Xcelium * محاكاة المنطق الموازي 21.09.003 (Linux * فقط)
إصدار كويستا-إنتل FPGA 2021.2
سيمنز EDA ModelSim SE 2020.4
سيمنز EDA Questa المتقدم محاكي 2020.4
Synopsys VCS * و VCS MX P-2019.06-SP2-5 (لينكس فقط)

يتطلب إصدار Questa-Intel FPGA Edition الخفي لترخيص FlexLM الإصدار 11.16.4.0 (أو أحدث). يمكنك الحصول على برنامج الترخيص الخفي من برنامج ترخيص FlexLM لبرنامج Intel FPGA web صفحة.
يمكنك الحصول على إصدار Intel FPGA لأدوات المحاكاة من مركز تنزيل FPGAs.

دعم نظام التشغيل لـ Questa-Intel FPGA Edition الإصدار 2021.2

  • ريد هات إنتربرايز لينكس 7 (64 بت)
  • ريد هات إنتربرايز لينكس 8 (64 بت)
  • SUSE Linux Enterprise Server 12 (64 بت)
  • ويندوز 10 (64 بت)

معلومات ذات صلة

  • برنامج تصميم Intel Quartus Prime Standard Edition لنظام التشغيل Linux
  • برنامج تصميم Intel Quartus Prime Standard Edition لنظام التشغيل Windows
  • برنامج التصميم Intel Quartus Prime Lite Edition لنظام التشغيل Linux
  • برنامج التصميم Intel Quartus Prime Lite Edition لنظام التشغيل Windows

التحقق من الفيروسات

تم التحقق من خلو إصدار برنامج Intel Quartus Prime 22.1 من الفيروسات باستخدام البرنامج التالي:

برنامج التحقق من الفيروسات
سطر أوامر McAfee VirusScan لنظام التشغيل Linux64 الإصدار: 7.0.0.477
إصدار محرك AV: 6300.9389 لنظام التشغيل Linux64.
إصدار مجموعة البيانات: 10505 تم إنشاؤه في 19 أكتوبر 2022

تم حل مشكلات البرامج

تم إصلاح طلبات خدمة العملاء التالية أو حلها بطريقة أخرى في الإصدار 22.1 من Intel Quartus Prime Standard Edition:

جدول 11. المشكلات التي تم حلها في الإصدار 22.1 من Intel Quartus Prime Standard Edition

أرقام طلبات خدمة العملاء
00421084 00451015 00476432 00501636 00529632 00540927 00541897 00550660
00553391 00573916 00630517 00641570 00644185 00647421 00649470 00661097
00668452 00669646 00675753 00683291 00689611 00690524 00693884 00696003
00698210 00698732 05129080 05465225 11396299

تم تضمين تصحيحات البرامج في هذا الإصدار

يحتوي الإصدار 22.1 من Intel Quartus Prime Standard Edition على التصحيحات التالية للإصدارات السابقة من برنامج Intel Quartus Prime Standard Edition:
جدول 12. تصحيحات البرامج المضمنة في الإصدار 22.1 من Intel Quartus Prime Standard Edition

إصدار البرنامج رقعة رقم طلب خدمة العملاء
Intel Quartus Prime الإصدار 21.1 0.10 يوم -
Intel Quartus Prime الإصدار 21.1 0.08 يوم 00693884
Intel Quartus Prime الإصدار 21.1 0.07 يوم 00501636
Intel Quartus Prime الإصدار 21.1 0.06 يوم 00689611
Intel Quartus Prime الإصدار 21.1 0.04stdp -
Intel Quartus Prime الإصدار 21.1 0.03 يوم -
Intel Quartus Prime الإصدار 21.1 0.02 يوم -
Intel Quartus Prime الإصدار 20.1.1 1.09 يوم 00702107
Intel Quartus Prime الإصدار 20.1 0.14 يوم 00702107
Intel Quartus Prime الإصدار 18.1.1 1.13 يوم -
Intel Quartus Prime الإصدار 18.1.1 1.12 يوم -
Intel Quartus Prime الإصدار 18.1.1 1.09 يوم -
إصدار البرنامج رقعة رقم طلب خدمة العملاء
Intel Quartus Prime الإصدار 18.1 0.23 يوم 00698210
Intel Quartus Prime الإصدار 18.1 0.21 يوم 00669646
Intel Quartus Prime الإصدار 18.1 0.20 يوم 00689611

أحدث إصدارات برنامج Intel Quartus Prime المعروفة
تتوفر معلومات حول المشكلات المعروفة التي تؤثر على الإصدار 22.1 من Intel Quartus Prime Standard Edition في قاعدة معارف Intel FPGA.
للحصول على أحدث المعلومات حول المشكلات التي تؤثر على الإصدار 22.1 من Intel Quartus Prime Standard Edition، راجعview مقالات قاعدة معارف Intel FPGA التي تنطبق على الإصدار 22.1 من Intel Quartus Prime Standard Edition.

جدول 13. المشكلات المعروفة المهمة التي تؤثر على إصدار Intel Quartus Prime Standard Edition 22.1

وصف الحل البديل
على أنظمة Microsoft* Windows، تصميم SDI II Intel FPGA IP على سبيل المثالampفشل إنشاء le مع رسالة الخطأ التالية: للحصول على التفاصيل ومدى توفر الإصلاح، راجع لماذا يفعل تصميم SDI II Intel FPGA IP على سبيل المثالampجيل يفشل عند استخدام برنامج Intel Quartus Prime لنظام التشغيل Windows؟ في قاعدة معارف Intel FPGA.
خطأ: فشل في إنشاء exampالتصميم لو السابقينample_design إلى:: \sdi_ii_0_exampتصميم
في أنظمة Microsoft Windows، يحدث الخطأ التالي عند إنشاء Intel Arria 10 EMIF Exampالتصميم للمحاكاة: يمكنك تجاهل رسائل التحذير هذه بأمان. محاكاة file مجموعات لشركة Siemens EDA Questa وAldec

يتم إنشاء برنامج محاكاة Riviera-PRO ويحتوي على التصميم ذي الصلة fileلتشغيل المحاكاة بنجاح.

لمزيد من التفاصيل ومدى توفر الإصلاح، راجع لماذا هل Intel Arria 10 EMIF Exampفشل إنشاء التصميم عند استخدام Intel Quartus Prime Standard Edition إصدار البرنامج 22.1 لنظام التشغيل Windows؟ في قاعدة معارف Intel FPGA.

خطأ: emif_0: حدث خطأ أثناء إنشاء المحاكاة على سبيل المثالampالتصميم لو. راجع make_sim_design_errors.log للحصول على التفاصيل.
خطأ: فشل في إنشاء exampالتصميم

ل: <exampدليل التصميم>

توليد السابقينampالتصميم: مكتمل مع وجود أخطاء
عند استخدام وضع Intel Arria 10 EMIF IP Skip Calibration، يمكن تعليق محاكاة Intel Arria 10 EMIF IP مع برنامج محاكاة Siemens EDA Questa (Siemens EDA Questa Advanced Simulator أو Questa-Intel FPGA Edition). استخدم PHY الملخص للمحاكاة السريعة

خيار المحاكاة لمنع التعليق.

لمزيد من التفاصيل ومدى توفر الإصلاح، راجع لماذا يقوم بمحاكاة Intel Arria 10 EMIF IP في Mentor أجهزة المحاكاة معلقة عند استخدام Intel Quartus Prime Standard إصدار البرنامج الإصدار 22.1 في قاعدة معارف Intel FPGA.

يمكنك العثور على معلومات المشكلات المعروفة للإصدارات السابقة من برنامج Quartus Prime على قاعدة معارف Intel FPGA web صفحة.
تتوفر معلومات حول مشكلات البرامج المعروفة التي تؤثر على الإصدارات السابقة من برنامج Quartus II على دعم برامج Intel Quartus Prime وQuartus II web صفحة.
تتوفر معلومات حول المشكلات التي تؤثر على مكتبة Intel FPGA IP في ملاحظات الإصدار لكل IP. يمكنك العثور على ملاحظات إصدار IP في فهرس وثائق Intel FPGA web صفحة.

معلومات ذات صلة

  • قاعدة معارف Intel FPGA
  • دعم برامج Intel Quartus Prime و Quartus II
  • ملاحظات إصدار Intel FPGAs والأجهزة القابلة للبرمجة

أرشيف ملاحظات إصدار برنامج Intel Quartus Prime Standard Edition ودعم الأجهزة
للحصول على أحدث الإصدارات والإصدارات السابقة من ملاحظات الإصدار هذه، راجع ملاحظات إصدار برنامج Intel Quartus Prime Standard Edition ودعم الأجهزة. إذا لم يكن إصدار البرنامج مدرجًا، فسيتم تطبيق ملاحظات الإصدار الخاصة بإصدار البرنامج السابق.

إصدار برنامج Intel Quartus Prime Standard Edition الإصدار 22.1 سجل مراجعة المستند

نسخة الوثيقة إصدار Intel Quartus Prime التغييرات
2022.11.07 22.1 • تحديث أحدث مشكلات البرامج المعروفة.
2022.10.31 22.1 • الإصدار الأولي.

Intel Quartus Prime Standard Edition: الإصدار 22.1 ملاحظات إصدار البرامج ودعم الأجهزة

المستندات / الموارد

برنامج Intel RN-01080-22.1 Quartus Prime Standard Edition [بي دي اف] دليل المستخدم
الإصدار 22.1، RN-01080-22.1، RN-01080-22.1 برنامج Quartus Prime Standard Edition، برنامج Quartus Prime Standard Edition، برنامج Prime Standard Edition، برنامج الإصدار القياسي، برنامج الإصدار، البرنامج

مراجع

اترك تعليقا

لن يتم نشر عنوان بريدك الإلكتروني. تم وضع علامة على الحقول المطلوبة *